죄송합니다. 더 이상 지원되지 않는 웹 브라우저입니다.

반도체네트워크의 다양한 최신 기능을 사용하려면 이를 완전히 지원하는 최신 브라우저로 업그레이드 하셔야 합니다.
아래의 링크에서 브라우저를 업그레이드 하시기 바랍니다.

Internet Explorer 다운로드 | Chrome 다운로드

차세대 IC 패키징에 필요한 첨단 설계 솔루션 ①


PDF 다운로드



글/KEITH FELTON, MENTOR A SIEMENS BUSINESS


서론

무어의 법칙을 지키기가 점점 더 어려워지고 있다. 트랜지스터 확장의 경제학의 보편적인 적용이 어려워지면서, 업계는 시스템 확장 수요를 지원하고 저렴한 시스템 원가를 달성하기 위해 혁신적인 패키징 기술로 전향하고 있다.
SoC와 ASIC에는 항상 메모리에 대한 액세스가 필요했다. 예전에는 그 메모리가 일반적으로 SoC 또는 ASIC이 마운팅된 PCB를 통해 통신을 주고받는 별도의 장치에 탑재되어 있었다. 하지만 지금 같은 신호 속도와 데이터 속도에 사용 전력을 줄여야 하고 발열량을 낮춰야 한다는 점까지 더해지면서 이제는 메모리가 신호 경로의 길이를 단축하고 상호 연결 매개변수를 최적화하기 위해 SoC/ASIC과 같은 패키지에 위치하게 되었다. 여러 개의 장치를 한 개의 패키지에 통합하면 시스템 규모를 줄이고 제조 원가를 절감할 수도 있으며 품질과 안정성은 증강하는 경우가 많다.
따라서 멀티다이 이종 및 단일 패키징은 변함없이 ‘모어 댄 무어(More than Moore)’ 방식에서 전망이 밝은 ‘그 이상(more)’을 의미하며 폼팩터와 기능성 개선을 위한 새로운 방식으로 활용되고 있고, 여러 가지 기술 노드를 사용하여 제조된 복수의 다이에 적합한 통합 수단으로서 자리매김하고 있다.

AR(차세대1)-1.jpg

[그림 1] 고급 2D 및 3D 패키징 기술을 사용하면 반도체 설계자가 프로세스에 최적화된 소형 칩(칩렛)을 유연하게 조합하여 광범위한 응용 분야, 전력 엔벨롭과 폼팩터 수요를 충족할 수 있다. (이미지 제공: Intel)

이종 및 동종 통합은 장치 기능성 보강, 출시 기간 단축 및 실리콘 수율 복원성과 같은 목표를 달성하도록 지원한다. 지금까지 모바일 컴퓨팅, 자동차, HPC, AR/VR, AI, IoT, 의료, 항공우주 및 5G 등 다양한 업종의 요구사항을 만족시키는 원가, 크기, 성능 및 전력 최적화를 지원하는 다양한 통합 기술 플랫폼이 출현하였다.

고급 패키징으로 인해 생겨난 새로운 난제

하지만 이런 패키지는 기존 패키지 설계 툴과 방법론으로 해결하기 어려운 고유한 난제를 수반한다. 설계팀은 각각의 요소만이 아니라 시스템 전체를 검증하고 최적화하기 위해 함께 협력해야 한다. 기존 IC 패키징 기판 설계는 보통 소규모 라미네이트 및/또는 빌드업(build-up) 기반 PCB와 매우 유사한다. 이는 일반적으로 기존 PCB 제조업체에서 제조하며 PCB 툴을 개조하여 설계한다.
반대로 최신 고급 패키지의 경우 사용하는 기법, 재료와 프로세스가 실리콘 파운드리 프로세스와 공통점이 더 많아 설계자와 설계 툴, 그리고 설계자가 애용하는 방법론에도 영향을 미치는 새로운 설계 접근 방식이 필요하게 되었다.

AR(차세대1)-2.jpg

[그림 2] 패키지 설계 툴이 다시 변곡점에 도달했다.

설계팀이 극복해야 할 첫 번째 난제 중 하나는 기판을 정확하게 집계하는 것이다. 기판은 능동형이거나 수동형일 수도 있고, 여기에 서로 다른 소자가 더해져 있기도 한다. 이와 같은 기판과 소자는 출처와 공급업체가 서로 다르며 여러 형식으로 제공될 가능성이 높다.

디지털 트윈 프로토타이핑

따라서 이와 같은 신형 고급 IC 패키지는 설계, 조립과 테스트에 필요한 다이, 기판과 서비스를 제공할 다양성을 갖춘 에코시스템에 의존해야 한다는 것이 명백한 사실이다. 데이터 소스와 형식이 워낙 다양하므로 종합적인 검증 플로우가 틀림없이 필요한다. 즉 좀 더 심층적인 시스템 레벨 전기, 응력 및 테스트 가능성 검증은 물론 어셈블리 레벨의 물리적 검증까지 감안해야 한다. 이와 동시에 패키지 설계자가 제품 출시 일정에 맞추고 성능 기대치에 부합하기 위해 꼭 필요한 빠르고 정확하며 자동화된 플로우를 보장하려면 확장형 EDA 툴의 지원도 필요한다. 이러한 플로우가 이종 패키지 어셈블리 전체의 3D 디지털 모델, 즉 트윈을 중심으로 구축된 전기, 응력 및 테스트 분석용으로 통합된 하나의 프로세스를 제공한다면 가장 이상적일 것이다.

AR(차세대1)-3.jpg

[그림 3] 장치 전체의 트루 3D 디지털 트윈 가상 프로토타입, 즉 “청사진”

요약하자면, 차세대 IC 패키지에는 크게 다음과 같은 다섯 가지 주요 속성을 지닌 차세대 설계 솔루션이 필요한다.
① 디지털 프로토타이핑
② 멀티 도메인 통합
③ 확장성 및 다양성을 겸비한 솔루션
④ 정밀 제조 전달
⑤ 이상적인 사인오프
2.5D/3D 이종 어셈블리의 디지털 트윈(가상 모델)을 만들면 여러 개의 소자와 기판으로 구성된 시스템 전체를 종합적으로 나타낼 수 있다. 모델을 만들려면 다양한 출처와 형식의 데이터를 집계하여 검증과 분석에 사용하기 적합한 하나의 응집된 시스템으로 구현해야 한다.
Mentor, A Siemens Business에서 제공하는 XpeditionⓇ Substrate Integrator는 이종 어셈블리를 나타내는 여러 개의 데이터 베이스를 가져오고 관리할 수 있는 툴이다.

AR(차세대1)-4.jpg

[그림 4] 트루 디지털 트윈 모델 구축

이런 작업은 LEF/DEF, AIF, GDS 또는 CSV/TXT 파일과 같은 업계 표준 형식을 사용하여 수행하는 것이 가장 좋다. 또한 의사(pseudo) 구성요소를 인스턴스화할 필요 없이 소자와 기판 인터페이스를 자동으로 인식하는 기능도 있어야 한다. 이 기능을 이용하면 설계자가 여러 명인 비동기 설계를 구현할 수 있다. 소속 팀과 작업 일정이 서로 다른, 심지어 근무 지역이 다른 여러 팀에서 설계의 각 조각을 따로 개발할 수 있다. 디지털 트윈을 이용하면 각 팀이 다른 팀의 설계 작업이 완료되지 않았더라도 시스템의 맥락에 맞게 자기 팀이 맡은 조각을 설계 및 검증할 수 있다. 이렇게 하면 모든 구성요소가 완료되어 함께 통합했을 때 전체적인 시스템 완성도가 보장된다.
디지털 트윈 방식의 주된 장점 중 하나는 이 트윈이 검증 및 분석 작업을 주도할 이상적인 기준이 되어준다는 것이다. 이 때문에 핀과 연결성 정보를 나타내기 위해 여러 개의 정적 스프레드시트 대신 Verilog 형식으로 시스템 레벨 netlist 전체를 사용하면 된다. 이렇게 하면 설계 계층 구조(다이, 인터포저, 임베디드 브리지 및 패키지 기판)의 모든 레벨에서 완전한 물리적 및 전기적 검증을 실시할 수 있다.
디지털 트윈은 이종 어셈블리를 기판 레벨 DRC부터 시작하여 LVS, LVL, 기생 추출(PEX), 응력과 열 분석에 이어 마지막으로 테스트에 이르기까지 자동 검증할 수 있도록 지원한다. 디지털 트윈에 업계 표준 및 EDA 툴 자동화를 결합하면 자동 이종 검증에 적합하면서도 자격을 갖춘 검증된 사인오프 플로우를 제공하는 통합형 접근 방식의 시초가 만들어졌다.

이상적인 시스템 NETLIST

모든 부품을 정확하게 캡처했으면 이 못지않게 중요한 다음 단계가 기다립니다. 즉, 통합된 장치 전체의 기준(또는 이상적인) top-level netlist를 구축하고 정의하는 것이다. 이 데이터가 수많은 레벨에서 조립을 마친 최종 장치의 검증을 실행하는 데 쓰이기 때문에 이것을 올바로 잡아내는 것이 매우 중요하다.
장치의 Verilog 설명과 같은 원본 데이터를 잘 보전하여 재사용하는 것이 핵심이다. 가장 위험한 요소는 회로도나 스프레드시트와 같이 변환 작업이 있을 때이다. 이런 작업이 수행되면 즉시 ‘디지털 스레드’가 끊기고, 연결 오류가 발생할 위험이 급증한다. 이것은 eSilicon에서 경험해본 적 있는 문제이다. [5]

패키지 계획

이상적인 시스템의 로직 netlist를 구축하면 설계를 계획 단계로 전환할 수 있다. 이것은 단순히 다이와 이종 장치를 2D로 배치하는 것 이상의 의미가 있다. 고급 IC 패키지의 경우 상호연결 기판이 여러 개인 경우가 많다. 패키지 BGA가 당연히 그중 하나를 차지하지만, 일반적으로는 부분 또는 전체 인터포저도 포함되어 2.5/3D 구조를 이룬다. 계획 단계에서는 여러 가지 요구사항을 고려하고 최적화해야 한다.
보통 다이 I/O 패드링, 핀, 패드, 범프 및 볼 연결을 최적화하되 배선성, 접점 할당, 신호 무결성, 전력 전달, 열 및 테스트 가능성에 중점을 둔다.

AR(차세대1)-5.jpg

[그림 5] 연결된 모든 기판에 걸친 통합 설계 최적화

이와 같은 계획에 이어 상세한 구현을 실시할 때도 선택한 제조업체의 프로세스 규칙과 지침을 세심하게 따라야 한다. 이는 사내에서 설계를 진행하고 제조, 어셈블리와 테스트는 여러 공급업체에 아웃소싱하는 경우 다소 복잡해질 수 있다. 예를 들어 고급 IC 패키지에 포함된 실리콘 인터포저는 어느 실리콘 파운드리에서 제조하고 이 인터포저를 유기 BGA에 장착하는데, 이 BGA 패키지와 최종 패키지 어셈블리 전체는 또 다른 OSAT에서 완료할 수도 있다.
이쯤 되면 공급업체에 설계 작업을 대신 맡겼으면 하는 충동이 생길 수 있지만, 그러면 몇 가지 타협해야 하는 부분이 생길 수 있다. 예를 들어 공급업체의 최우선 목표는 주로 수율이고 설계업체의 목표는 성능이나 저전력 등이므로 서로 다소 다를 수 있다. 물론 구체적인 지시와 목표를 제시할 수는 있지만, 궁극적인 결과물의 통제권을 아웃소싱한다는 사실은 변하지 않는다.
또 한 가지 고민해볼 만한 요소는 패키지 설계를 아웃소싱하면 실제로 완성된 설계 구현의 소유권 문제이다. 패키지의 물리적 레이아웃 데이터베이스도 마찬가지이다. 파운드리와 OSAT는 제공받은 데이터베이스를 고객에게 돌려주지 않는 경우가 많다. 적어도 상호 합의한 수준의 단위 제조가 이루어져야 반납을 기대할 수 있으며, 그조차 보장할 수는 없다. 여기에는 여러 가지 이유가 있지만, 가장 보편적인 이유를 두 가지만 들자면 이러한 업체 측에서는 설계업체가 더 저렴한 제조나 어셈블리 입찰가를 찾아 여기저기 둘러보기를 원치 않으며, 설계 데이터베이스는 경쟁업체에 자사의 프로세스 규칙과 제한 사항을 누출하는 매개체가 될 수 있다. 파운드리나 OSAT는 보통 검증에 사용할 수 있는 다른 것, 주로 GDSII 또는 ODB++을 제공하지만, CAD 툴 네이티브 데이터베이스를 제공하는 경우는 드물다.
대부분의 응용 분야에서 차세대 IC 패키징은 실리콘 확장성, 기능 밀도, 전체 패키지 크기 축소 및 이종 통합 등의 목표를 이룰 수 있는 가장 좋은 방법이다. 또한 이것은 기존의 플립칩 유기 BGA 패키징과는 큰 차이가 있으므로 모든 단계에서 사뭇 다른 설계 및 검증 방식을 사용해야 한다. 이러한 새로운 접근법의 시작으로 디지털 트윈이라는 가상 프로토타입 모델을 사용하여 여러 가지 서로 다른 설계 툴을 사용하더라도 설계와 검증의 모든 면을 통괄할 수 있도록 하는 것이 있다.
이 연작의 두 번째 파트인 2부에서는 디지털 트윈 방법론으로 지원되는 멀티 도메인, 교차 도메인 통합에 대해 중점적으로 다뤄보겠다.

참고 문헌
[1] Tarek Ramadan, “Crossing the chasm: Bringing SoC and package verification together with Calibre 3DSTACK,” Mentor, a Siemens business. January 2017. https://go.mentor.com/4QLSO
[2] Dusan Petranovic and Karen Chow, “3D-IC system verification methodology: solutions and challenges.” Electronic Design Process Symposium, April 2011. https://www.researchgate.net/publication/268208901_3D-IC_System_Verification_Methodology_Solutions_and_Challenges
[3] Tarek Ramadan, “Package designers need assembly-level LVS for HDAP verification,” Mentor, a Siemens business. December 2017. https://go.mentor.com/4WDVj
[4] Christian Decoin and Vassilis Kourkoulos, “Fast and accurate extraction of 3D-IC layout structures,” Mentor, a Siemens business. July 2012.
[5] Tony Mastroianni, “eSilicon tackles the challenges of advanced IC package design using Xpedition Substrate Integrator and Calibre 3DSTACK” May 2019. https://go.mentor.com/58xrP
[6] Amkor Delivers Industry’s First Package Assembly Design Kit to Support Mentor’s High-Density Advanced Packaging Tools July 2018. https://ir.amkor.com/news-releases/news-release-details/amkor-delivers-industrys-first-package-assembly-design-kit

leekh@seminet.co.kr
(끝)
<저작권자(c) 반도체네트워크, 무단 전재-재배포 금지>

X


PDF 다운로드

개인정보보호법 제15조에 의한 수집/이용 동의 규정과 관련하여 아래와 같이 PDF 다운로드를 위한 개인정보 수집 및 이용에 동의하십니까? 동의를 거부할 수 있으며, 동의 거부 시 다운로드 하실 수 없습니다.

이메일을 입력하면,
(1) 신규참여자 : 성명/전화번호/회사명/분야를 입력할 수 있는 입력란이 나타납니다.
(2) 기참여자 : 이메일 입력만으로 다운로드가 가능합니다.

×

회원 정보 수정